SyncMutex
PHP Manual

SyncMutex::lock

(PECL sync >= 1.0.0)

SyncMutex::lockObtient un verrou exclusif

Description

public bool SyncMutex::lock ([ integer $wait ] )

Obtient un verrou exclusif sur un objet SyncMutex. Si le verrou est déjà acquis, alors cette méthode va incrémenter le compteur interne.

Liste de paramètres

wait

Le nombre de millisecondes à attendre pour l'obtention du verrou exclusif. Une valeur -1 signifie que l'on attend indéfiniement.

Valeurs de retour

Un booléen ayant comme valeur TRUE si le verrou est obtenu, FALSE sinon.

Exemples

Exemple #1 Exemple avec SyncMutex::lock()

<?php
$mutex 
= new SyncMutex("UniqueName");

if (!
$mutex->lock(3000))
{
    echo 
"Impossible de verrouiller le mutex.";

    exit();
}

/* ... */

$mutex->unlock();
?>

Voir aussi


SyncMutex
PHP Manual